AI-driven design automation
AI-driven design automation is the use of artificial intelligence (AI) to automate and improve different parts of the electronic design automation (EDA) process. It is particularly important in the design of integrated circuits (chips) and complex electronic systems, where it can potentially increase productivity, decrease costs, and speed up design cycles. AI Driven Design Automation uses several methods, including machine learning, expert systems, and reinforcement learning. These are used for many tasks, from planning a chip's architecture and logic synthesis to its physical design and final verification. ![]() History1980s–1990s: Expert systems and early experimentsThe use of AI for design automation originated in the 1980s and 1990s, mainly with the creation of expert systems. These systems tried to capture the knowledge and practical rules used by human design experts, and used these rules, along with reasoning engines, to direct the design process.[2] A notable early project was the ULYSSES system from Carnegie Mellon University. ULYSSES was a CAD tool integration environment that let expert designers turn their design methods into scripts that could be run automatically. It treated design tools as sources of knowledge that a scheduler could manage.[3] Another example is the ADAM (Advanced Design AutoMation) system at the University of Southern California, which used an expert system called the Design Planning Engine. This engine figured out design strategies on the fly and handled different design jobs by organizing specialized knowledge into structured formats called frames.[4] Other systems like DAA (Design Automation Assistant) used a rule-based approach for specific jobs, such as register transfer level (RTL) design for systems like the IBM 370.[2] Researchers at Carnegie Mellon University also created TALIB, an expert system for mask layout that used over 1200 rules, and EMUCS/DAA for CPU architectural design which used about 70 rules. These projects showed that AI worked better for problems where relatively few rules were required to describe much larger amounts of data. At the same time, there was a surge of tools called silicon compilers like MacPitts, Arsenic, and Palladio. They used algorithms and search techniques to explore different design paradigms. This was another way to automate design, even if it was not always based on expert systems.[5] Early tests with neural networks in VLSI design also happened during this time, although they were not as common as systems based on rules. 2000s: Introduction of machine learningIn the 2000s, interest in AI for design automation increased. This was mostly because of better machine learning (ML) algorithms and more available data from design and manufacturing. For example, they were used to model and reduce the effects of small manufacturing differences in semiconductor devices. This became very important as the size of components on chips became smaller. The large amount of data created during chip design provided the foundation needed to train smarter ML models. This allowed for predicting outcomes and optimizing in areas that were hard to automate before. 2016–2020: Reinforcement learning and large scale initiativesA major turning point happened in the mid to late 2010s, sparked by successes in other areas of AI. The success of DeepMind's AlphaGo in mastering the game of Go inspired researchers. They began to apply reinforcement learning (RL) to difficult EDA problems. These problems often require searching through many options and making a series of decisions. In 2018, the U.S. DARPA started the Intelligent Design of Electronic Assets (IDEA) program. A main goal of IDEA was to create a fully automated layout generator that required no human intervention. It needed to produce a chip design ready for manufacturing from RTL specifications in 24 hours. Another big initiative was the OpenROAD project, a large effort under IDEA led by UC San Diego with industry and university partners, aimed to build an open source, independent toolchain. It used machine learning, parallelization and divide and conquer approaches.[6] A much-publicized but controversial demonstration of RL's potential came from Google researchers between 2020 and 2021. They created a deep reinforcement learning method for planning the layout of a chip, known as floorplanning. They reported that this method created layouts that were as good as or better than those made by human experts, and it did so in less than six hours. This method used a type of network called a graph convolutional neural network. It showed that it could learn general patterns that could be applied to new problems, getting better as it saw more chip designs. The technology was later used to design Google's Tensor Processing Unit (TPU) accelerators.[7] However, in the original paper, the improvement (if any) from AI was not demonstrated. There was no comparison with existing non-AI tools performing the same task, and since the data is proprietary, no ability for anyone else to perform this comparison. Various efforts to reproduce the AI algorithm, and compare its results with various commercial and academic tools, have yielded mixed results with no conclusive advantage to AI.[8] 2020s: Autonomous systems and agentsEntering the 2020s, the industry saw the commercial launch of autonomous AI driven EDA systems. For example, Synopsys launched DSO.ai (Design Space Optimization AI) in early 2020, calling it the first autonomous artificial intelligence application for chip design in the industry.[9][10] This system uses reinforcement learning to search for the best ways to optimize a design within the huge number of possible solutions, trying to improve power, performance, and area (PPA).[10] By 2023, DSO.ai had been used in over 100 commercial chip productions, which proved that the industry was widely adopting it.[11] Synopsys later grew its AI tools into a suite called Synopsys.ai. The goal was to use AI in the entire EDA workflow, including verification and testing.[12][13] These advancements, which combine modern AI methods with cloud computing and large data resources, have led to talks about a new phase in EDA. Industry experts and participants sometimes call this 'EDA 4.0'.[14][15] This new era is defined by the widespread use of AI and machine learning to deal with growing design complexity, automate more of the design process, and help engineers handle the huge amounts of data that EDA tools create.[14][16] The purpose of EDA 4.0 is to optimize product performance, get products to market faster and make development and manufacturing smoother through intelligent automation.[15] ApplicationsArtificial intelligence (AI) is now used in many stages of the electronic design workflow. It aims to improve productivity, get better results, and handle the growing complexity of modern integrated circuits.[17] AI helps designers from the very first ideas about architecture all the way to manufacturing and testing. High level synthesis and architectural explorationIn the first phases of chip design, AI helps with High Level Synthesis (HLS) and exploring different system level design options (DSE). These processes are key for turning general ideas into detailed hardware plans. AI algorithms, often using supervised learning, are used to build simpler, substitute models. These models can quickly guess important design measurements like area, performance, and power for many different architectural options or HLS settings.[1] For example, the Ithemal tool uses deep neural networks to estimate how fast basic code blocks will run, which helps in making processor architecture decisions.[18] Similarly, PRIMAL uses machine learning for guessing power use at the register transfer level (RTL), giving early information about how much power the chip will use.[19] Reinforcement learning (RL) and Bayesian optimization are also used to guide the DSE process. They help search through the many parameters to find the best HLS settings or architectural details like cache sizes.[20] LLMs are also being tested for creating architectural plans or initial C code for HLS, as seen with GPT4AIGChip.[21][1] Logic synthesis and optimizationLogic synthesis starts from a high level hardware description and generates an optimized list of electronic gates, known as a gate level netlist, that is ready for a specific manufacturing process. AI methods help with different parts of this process, including logic optimization, technology mapping, and making improvements after mapping.[17][20] Supervised learning, especially with Graph Neural Networks (GNNs), is good at handling data or problems that can be represented as graphs. Since circuit diagrams are instances of directed graphs, supervised learning can help create models that predict design properties like power or error rates in circuits.[1] In logic synthesis and optimization reinforcement learning is used to perform logic optimization directly. In some cases agents are trained to choose a series of logic changes to reduce area while meeting timing goals.[1] Other examples are AlphaSyn, which uses Monte carlo tree search with RL to optimize logic for smaller area[22] and FlowTune, which uses a multi armed bandit strategy to choose synthesis flows.[1] These methods can also adjust parameters for entire synthesis flows, learning from old designs to recommend the best tool settings for new ones.[17] Physical designPhysical design turns a netlist into a physical layout. This layout defines exactly where each component goes, plus a physical description of all the wires needed to connect them. AI is usually used in this area to improve power, performance, and area metrics. PlacementPlacement is the task of finding the best spots for large circuit blocks, called macros, and smaller standard cells. Reinforcement learning has been famously used for macro placement, where an agent learns how to position blocks to reduce wire length and improve timing[23] and other examples like the GoodFloorplan method.[24] Supervised learning models, including CNNs that treat the layout like a picture, are used to predict routing problems like DRVs (e.g., RouteNet[25]) or timing after routing directly from the placement information.[1] RL Sizer uses deep RL to optimize the size of gates during placement to meet timing goals.[26] ![]() Clock network synthesisAI helps in Clock Tree Synthesis (CTS) by optimizing the network that distributes the clock signal. GANs, sometimes used with RL (e.g., GAN CTS), are used to predict and improve clock tree structures. The goal is to reduce clock skew and power use.[20][1] RoutingRouting creates the physical wire connections. AI models predict routing traffic jams using methods like GANs to help guide the routing algorithms. RL is also used to optimize the order in which wires are routed to reduce errors.[17][1] Power/ground network synthesis and analysisAI models, including CNNs and tree based methods, help in designing and analyzing the Power Delivery Network (PDN). They do this by quickly estimating static and dynamic IR drop. This guides the creation of the PDN and reduces the number of design cycles.[17][20][1] Verification and validationVerification and validation demonstrate that a proposed design will correctly implement the requirements, and can be successfully manufactured. These are critical steps in the design and fabrication of a semiconductor device, and often consume a large fraction of the total design effort. AI is used to make them more efficient.[17] LLMs are used to turn plain language requirements into formal SystemVerilog assertions (SVAs) (e.g., AssertLLM) and to help with security verification.[1] Some methods focus on making timing checks much faster, by predicting timing analysis results based on circuit structure,[28] which was later improved with transformer models like TF Predictor.[29] Another approach is DeepGate2, which provides a way to learn circuit representations, which in turn can help with verification tasks.[30] Analog and mixed signal designAI methods are increasingly used in the complex field of analog and mixed signal circuit design. They help choose the circuit structure, determine the size of components, and automate the layout steps. AI models, including Variational Autoencoders (VAEs) and RL, help explore and create new circuit structures.[1] For instance, graph embeddings can be used to optimize the structure of operational amplifiers.[31] Machine learning can generate substitute models that allow fast performance estimates for component sizing, while RL directly optimizes the component parameters.[17] Test, manufacturing and yield optimizationAI can also help in the stages after the silicon was first manufactured. This includes testing, design for manufacturability (DFM), and improving the production yield. In lithography, AI models like CNNs and GANs are used for SRAF generation (e.g., GAN SRAF[32]) and OPC (e.g., GAN OPC[33]) to improve the amount of successfully produced chips. AI also predicts lithography problems from the layout, known as hotspots.[34] For tuning the broader design flow for manufacturing, FIST uses tree based methods to select parameters.[35] Hardware-software co-designHardware-software co-design is about optimizing the hardware and software parts of a system at the same time. LLMs are starting to be used as tools to help with this. For example, they help in designing Compute in Memory (CiM) DNN accelerators, where how the software is arranged and how the hardware is set up are closely connected.[36][1] LLMs can also create architectural plans (e.g., SpecLLM[37]) or HDL code using benchmarks like VerilogEval[38] and RTLLM,[39] or with tools like AutoChip.[40] Additionally, agents based on LLMs like ChatEDA make it easier to interact with EDA tools for different design stages.[41] AI methodsPeople are using Artificial intelligence techniques more and more to solve difficult problems in electronic design automation. These methods look at large amounts of design data, learn complex patterns, and automate decisions. The goal is to improve the quality of designs, make the design process faster, and handle the increasing complexity of making semiconductors. Important approaches include supervised learning, unsupervised learning, reinforcement learning, and generative AI. Supervised learningSupervised learning is a type of machine learning where algorithms learn from data that is already labeled.[42] This means every piece of input data in the training set has a known correct answer or ground-truth.[43] The algorithm learns to connect inputs to outputs by finding the patterns and connections in the training data.[44] After it is trained, the model can then make predictions on new data it has not seen before.[45] In electronic design automation, supervised learning is useful for tasks where past data can predict future results or spot certain problems. This includes estimating design metrics like performance, power, and timing. For example, Ithemal estimates CPU performance,[18] PRIMAL predicts power use at the RTL stage,[19] and other methods predict timing delays in circuits by analyzing their structure.[28][29] It is also used to classify parts of a design to find potential problems, like lithography hotspots[34] or predicting how easy a design will be to route.[25] Learning circuit representations that are aware of their function also often uses supervised methods.[30] Unsupervised learningUnsupervised learning involves training algorithms on data without any labels. This lets the models find hidden patterns, structures, or connections in the data by themselves.[46] Common tasks are clustering (which groups similar data together), dimensionality reduction (which reduces the number of variables but keeps important information), and association rule mining (which finds relationships between variables).[47] In EDA, these methods are valuable for looking through complex design data to find insights that are not obvious. For instance, clustering can group design settings or tool configurations, which helps in automatically tuning the design process, as seen in the FIST tool.[35] A major use is in representation learning, where the aim is to automatically learn useful and often simpler representations (features or embeddings) of circuit data. This could involve learning embeddings for analog circuit structures using methods based on graphs[31] or understanding the function of netlists through contrastive learning methods.[48] Reinforcement learningReinforcement learning (RL) is a kind of machine learning where an agent, or a computer program, learns to make the best decisions by trying things out in a simulated environment. The agent takes actions, moves between different states, and gets rewards or penalties as feedback. The main goal is to get the highest total reward over time.[49] RL is different from supervised learning because it does not need labeled data. It also differs from unsupervised learning because it learns by trial and error to achieve a specific goal.[50] In EDA, RL is especially good for tasks that require making a series of decisions to find the best solution in very complex situations with many variables. Its adoption by commercial EDA products shows its growing importance.[51] RL has been used for physical design problems like chip floorplanning. In this task, an agent learns to place blocks to improve things like wire length and performance.[23][24] In logic synthesis, RL can guide how optimization steps are chosen and in what order they are applied to get better results, as seen in methods like AlphaSyn.[22] Another example where RL agents can learn effective strategies is adjusting the size of gates to optimize timing.[26] ![]() Generative AIGenerative AI means artificial intelligence models that can create new content, like text, images, or code, instead of just analyzing or working with existing data.[53] These models learn the underlying patterns and structures from the data they are trained on. They then use this knowledge to create new and original outputs.[54] In EDA, generative AI is being used in many ways, especially through Large Language Models (LLMs) and other architectures like Generative Adversarial Networks (GANs). Large language models (LLMs)Large Language Models are deep learning models, often based on the transformer architecture. They are pre trained on huge amounts of text and code.[55] They are very good at understanding, summarizing, creating, and predicting human language and programming languages.[56] Their abilities are being used in EDA for jobs such as:
Other generative modelsBesides LLMs, other generative models like Generative Adversarial Networks (GANs) are also used in EDA. A GAN has two neural networks, a generator and a discriminator, which are trained in a competition against each other.[57] The generator learns to make data samples that look like the training data, while the discriminator learns to tell the difference between real and generated samples.[58] In physical design, GANs have been used for tasks like creating sub resolution assist features (SRAFs) to make chips easier to manufacture in lithography (GAN SRAF[32]) and for optimizing masks (GAN OPC[33]). Industry adoption and ecosystemThe use of artificial intelligence in electronic design automation is a widespread trend. Many different players in the semiconductor world are helping to create and use these technologies. This includes companies that sell EDA tools and develop software with AI, semiconductor design companies and foundries that use these tools to make and manufacture chips, and very large technology companies that might design their own chips using AI driven methods. EDA tool vendorsMajor EDA companies are leading the way in adding AI to their tool suites to handle growing design complexity. Their strategies often involve creating complete AI platforms. These platforms use machine learning in many different steps of the design and manufacturing process. Synopsys provides a set of tools in its Synopsys.ai initiative. This initiative aims to improve design metrics and productivity from the system architecture stage all the way to manufacturing. A main component uses reinforcement learning to improve power, performance, and area (PPA) during the process that goes from the initial design description to the final manufacturing file (DSO.ai). Other parts use AI to speed up verification, optimize test pattern generation for manufacturing, and improve the design of analog circuits in different conditions.[13] Cadence has created its Cadence.AI platform. The company says it uses "agentic AI workflows" to cut down on the design engineering time for complex SoCs.[59] Key platforms use AI to optimize the digital design flow (Cadence Cerebrus), improve verification productivity (Verisium), design custom and analog ICs (Virtuoso Studio), and analyze systems at a high level (Optimality Intelligent System Explorer).[60] Siemens EDA directs its AI strategy at improving its current software engines and workflows to give engineers better design insights. AI is used inside its Calibre platform to speed up manufacturing tasks like Design for Manufacturability (DFM), Resolution Enhancement Techniques (RET), and Optical Proximity Correction (OPC). AI is also used in its Questa suite to close coverage faster in digital verification and in its Solido suite to lessen the characterization work for analog designs.[61] Semiconductor design and FPGA companiesCompanies that design semiconductor chips, like FPGAs and adaptive SoCs, are major users and creators of EDA methods that are improved with AI to make their design processes more efficient. AMD offers a suite of tools for its adaptive hardware that uses different AI approaches. The AMD Vitis platform is an environment for developing designs on its SoCs and FPGAs. It includes a component, Vitis AI, which has libraries and pre trained models to speed up AI inference.[62] The related Vivado Design Suite uses machine learning methods to improve the quality of results (QoR) and help with achieving timing goals and estimating power for the hardware design.[63] NVIDIA has a specific Design Automation Research group to look into new EDA methods.[64] The group focuses on EDA tools that are accelerated by GPUs and using AI methods like Bayesian optimization and reinforcement learning for EDA problems. One example of their research is AutoDMP, a tool that automates macro placement using multi objective Bayesian optimization and a GPU accelerated placer.[65] Cloud providers and hyperscalersLarge cloud service providers and hyperscale companies have two main roles. They provide the powerful and flexible computing power needed to run difficult AI and EDA tasks, and many also design their own custom silicon, often using AI in their internal design processes. Google Cloud, for example, provides a platform that supports EDA workloads with flexible computing resources, special storage solutions, and high speed networking.[66] At the same time, Google's internal chip design teams have contributed to EDA research, especially by using reinforcement learning for physical design tasks like chip floorplanning.[23] IBM provides infrastructure on its cloud platform that is focused on EDA, with a strong emphasis on secure environments for foundries and high performance computing. Their solutions include high performance parallel storage and tools for managing large scale jobs. These are designed to help design houses manage the complex simulation and modeling tasks that are part of modern EDA.[67] Limitations and challengesData quality and availabilityOne of the main challenges for using AI effectively in EDA is the lack of availability and low quality of existing training data. Machine learning models, especially deep learning ones, usually need large, varied, and high quality datasets for training. This increases the odds they will work well on designs unlike those they have seen before. However, detailed design data in the semiconductor industry is very sensitive and often considered a trade secret, with companies unwilling to share it. The lack of public, detailed examples makes it difficult for university researchers, and even EDA companies, to develop models that can be widely used. Furthermore, even the data that is available is often non-representative, with problems such being noisy, incomplete, or unbalanced. For instance, having many more examples of successful designs than ones with problems can lead to biased or poorly performing AI models.[20] The work and cost of collecting, organizing, and correctly labeling large EDA datasets is one of the main obstacles to moving AI forward in EDA. Possible solutions include creating strong data augmentation methods, generating realistic synthetic data, and building community platforms for sharing data securely and for benchmarking.[1] Integration and compute costPutting AI solutions into practice in the EDA field is challenging. Adding new AI models and algorithms into established EDA workflows, which are often made of many connected tools and private formats, takes considerable engineering work and can face difficulties when working with novel or custom EDA tools.[1] Also, training and running complex AI models, such as deep learning, requires high end computing resources. These may includes powerful GPUs, special AI accelerators, large amounts of memory, and/or long processing times.[17] These needs lead to high costs for both creating and using AI models. Creating AI methods that can handle the ever growing size and complexity of modern chip designs, while staying efficient and using a reasonable amount of memory, is an ongoing challenge.[20][1] Intellectual property and confidentialityThe use of AI in EDA, especially with sensitive design data, brings up serious worries about protecting secret company information, known as intellectual property (IP), and keeping data private. Chip designs are very valuable IP, and there is always a risk when giving this secret information to AI models, particularly if they are made by other companies or run on cloud platforms.[17] It is extremely important to make sure that design data used for training or making decisions is not compromised, leaked, or used to accidentally leak secret knowledge. While strategies like fine tuning open source models on private data are being tried to reduce some privacy risks, it is essential to set up secure data handling rules, strong access controls, and clear data management policies. The unwillingness to share detailed design data because of these IP and privacy worries also slows down collaborative research and the creation of better AI models for the EDA industry.[1] Human oversight and interpretabilityEven with the push for more automation, the role of human designers is still vital, and making AI models understandable continues to be a challenge. Many advanced deep learning systems, can act like "black boxes," which makes it hard for engineers to understand why they make certain predictions or design choices.[17] This lack of clarity can prevent adoption, as designers might not want to trust or use solutions if their decision making process is not clear, especially in critical applications or when fixing unexpected problems.[20] It is essential to set design goals, check the results from AI, handle new or unusual situations where AI might fail, and provide the specialized knowledge that often guides AI development. To effectively use AI in EDA, it means that human engineers and smart tools need to work together effectively. This requires designers to learn new skills for working with and supervising AI systems.[17] References
External links
|
Portal di Ensiklopedia Dunia